Estem treballant per restaurar l'aplicació de Unionpedia a la Google Play Store
SortintEntrant
🌟Hem simplificat el nostre disseny per a una millor navegació!
Instagram Facebook X LinkedIn

Oblia (electrònica)

Índex Oblia (electrònica)

Una oblia de silici gravada En microelectrònica, una oblia és una fina planxa de material semiconductor, com per exemple cristall de silici, sobre la qual es construeixen microcircuits mitjançant tècniques de dopat (per exemple, difusió o implantació d'ions), gravat químic i deposició de diversos materials.

Taula de continguts

  1. 118 les relacions: A Boy and His Atom, Aïllament de la unió p–n, Agafador de Bernoulli, Aigua ultrapura, Applied Materials, ASML Holding, BEOL, BiCMOS, Boule (cristall), Caracterització de l'enllaç d'oblia, Cèl·lules solars de pel·lícula prima, Cel·la fotovoltaica, Cerebras, Chip on Board, Chip-scale package, Circuit imprès, Circuit integrat fotònic, Circuit integrat monolític de microones, Contracció de procés, Cree Inc., Dau (circuit integrat), Dawon Kahng, Díode emissor de llum orgànic flexible, Deposició de làser polsat, Deposició per pulverització, Dispositiu semiconductor, Disseny de circuits integrats, Dopatge monocapa, ECAD, Electrònica impresa, Encapsulat a nivell d'oblia, Encapsulat de semiconductor, Encapsulat dels circuits integrats, Encapsulat FOWL, Energia solar espacial, Epitàxia, Espal·lació, Estructura MOS, Fabless, Física dels Led, Feix d'ions, FEOL, Fosfur de gal·li, Fotolitografia (electrònica), GlobalWafers, Gravat (microfabricació), Gravat d'ions reactius, Gravat d'ions reactius profunds, Gravat de Wright, Gravat en sec, ... Ampliar l'índex (68 més) »

A Boy and His Atom

A Boy and His Atom és un curtmetratge d'animació de stop-motion de l'any 2013 publicat a YouTube per IBM Research.

Veure Oblia (electrònica) і A Boy and His Atom

Aïllament de la unió p–n

El procés de fabricació d'aïllament de rases poc profundes de circuits integrats moderns en seccions transversals. L'aïllament de la unió p–n és un mètode utilitzat per aïllar elèctricament components electrònics, com ara transistors, en un circuit integrat (IC) envoltant els components amb unions p–n polaritzades inversament.

Veure Oblia (electrònica) і Aïllament de la unió p–n

Agafador de Bernoulli

El flux d'aire provoca una força d'elevació sobre l'objecte, permetent l'adhesió sense contacte Un agafador de Bernoulli utilitza el flux d'aire per adherir-se a un objecte sense contacte físic.

Veure Oblia (electrònica) і Agafador de Bernoulli

Aigua ultrapura

llengua.

Veure Oblia (electrònica) і Aigua ultrapura

Applied Materials

Applied Materials, Inc. és una corporació nord-americana que subministra equips, serveis i programari per a la fabricació de xips de semiconductors (circuits integrats) per a electrònica, pantalles de pantalla plana per a ordinadors, telèfons intel·ligents, televisors i productes solars.

Veure Oblia (electrònica) і Applied Materials

ASML Holding

ASML Holding NV (amb acrònim ASML que originalment significa "Advanced Semiconductor Materials Lithography", litografia avançada de materials semiconductors) és una corporació multinacional holandesa fundada el 1984 i especialitzada en el desenvolupament i la fabricació de sistemes de fotolitografia, utilitzats per a produir xips d'ordinador.

Veure Oblia (electrònica) і ASML Holding

BEOL

Vista de tall vertical d'un integrat CMOS sobre un substrat de silici i 5 capes de metal·lització BEOL. BEOL (acrònim anglès per Back end of line, fase segona de producció) és la segona part de la fabricació d'IC on els dispositius individuals (transistors, condensadors, resistències, etc.) s'interconnecten amb el cablejat de l'oblia (electrònica), la capa de metal·lització.

Veure Oblia (electrònica) і BEOL

BiCMOS

Esquema d'un inversor BICMOS BiCMOS (contracció de Bipolar-CMOS) és una tecnologia de fabricació de circuits integrats que combina els avantatges de les tecnologies bipolar i CMOS integrant-les juntes en una mateixa oblia.

Veure Oblia (electrònica) і BiCMOS

Boule (cristall)

de silici monocristal·lí. El terme boule (bola de cristall) s'empra per a referir-se a és un lingot d'un sol cristall produït per mitjans sintètics.

Veure Oblia (electrònica) і Boule (cristall)

Caracterització de l'enllaç d'oblia

Configuració esquemàtica de la microscòpia de transmissió d'infrarojos. La caracterització de l'enllaç d'oblia es basa en diferents mètodes i proves.

Veure Oblia (electrònica) і Caracterització de l'enllaç d'oblia

Cèl·lules solars de pel·lícula prima

Cèl·lules solars de pel·lícula prima, una segona generació de cèl·lules solars fotovoltaiques (PV): Part superior: laminats de silici de pel·lícula prima que s'instal·len en un sostre. Mitjà: cèl·lula solar CIGS sobre un suport de plàstic flexible i panells rígids de CdTe muntats sobre una estructura de suport.

Veure Oblia (electrònica) і Cèl·lules solars de pel·lícula prima

Cel·la fotovoltaica

polzades Símbol de la cel·la fotovoltaica Una cel·la fotovoltaica, també anomenada cèl·lula fotovoltaica o cèl·lula solar és un dispositiu electrònic que permet transformar, mitjançant l'efecte fotovoltaic, l'energia solar en energia elèctrica, o més específicament, l'energia lluminosa (fotons) en electricitat (electrons).

Veure Oblia (electrònica) і Cel·la fotovoltaica

Cerebras

Cerebras Systems és una empresa nord-americana d'intel·ligència artificial amb oficines a Sunnyvale, San Diego, Toronto, Tòquio i Bangalore.

Veure Oblia (electrònica) і Cerebras

Chip on Board

LED d'alta potència Chip on board (COB) és un mètode de fabricació de plaques de circuit en què els circuits integrats (per exemple, microprocessadors) estan connectats (cablejats, connectats directament) a una placa de circuit imprès i coberts per una gota d'epoxi.

Veure Oblia (electrònica) і Chip on Board

Chip-scale package

Fig.1 Exemple d'encapsulat CSP (a la part inferior de la imatge) comparat amb un encapsulat SOT23 (part superior). Chip-scale-Package (amb acrònim anglès CSP) és un tipus d'encapsulat per a circuits integrats.

Veure Oblia (electrònica) і Chip-scale package

Circuit imprès

Sinclair ZX Spectrum del 1983; un PCB poblat, mostrant les pistes de material conductor, els forats a través del substrat i alguns components elèctrics muntats. Fig.1 Disseny de PCB de doble cara. Una placa de circuit imprès, o PCB, és utilitzada per donar suport mecànic i connectar elèctricament components electrònics que utilitzen pistes de material conductor, gravats a partir de fulls de coure laminats a un substrat no conductor (fibra de vidre, etc.).

Veure Oblia (electrònica) і Circuit imprès

Circuit integrat fotònic

Un circuit integrat fotònic (amb acrònim anglès PIC) o circuit òptic integrat és un microxip que conté dos o més components fotònics que formen un circuit en funcionament.

Veure Oblia (electrònica) і Circuit integrat fotònic

Circuit integrat monolític de microones

Fotografia d'un MMIC GaAs (un convertidor ascendent de 2 a 18 GHz). Implementació real MMIC MSA-0686. El circuit integrat de microones monolític, o MMIC, és un tipus de dispositiu de circuit integrat (IC) que funciona a freqüències de microones (300 MHz a 300 GHz).

Veure Oblia (electrònica) і Circuit integrat monolític de microones

Contracció de procés

El terme contracció de matriu (de vegades retràctil òptica o contracció de procés) es refereix a l'escalat dels dispositius MOS (metall-òxid-semiconductor).

Veure Oblia (electrònica) і Contracció de procés

Cree Inc.

Cree Inc. és una empresa dels EUA que fabrica i comercialitza dispositius Led i productes per a ràdio-freqüència.

Veure Oblia (electrònica) і Cree Inc.

Dau (circuit integrat)

Un «dau» de circuit integrat a molt gran escala (VLSI) Un dau (die en anglès) en el context dels circuits integrats, és un petit bloc de material semiconductor, on es fabrica un determinat circuit funcional.

Veure Oblia (electrònica) і Dau (circuit integrat)

Dawon Kahng

Dawon Kahng (4 de maig de 1931 - 13 de maig de 1992) va ser un enginyer elèctric i inventor coreà-americà, conegut pel seu treball en electrònica d'estat sòlid.

Veure Oblia (electrònica) і Dawon Kahng

Díode emissor de llum orgànic flexible

telèfons intel·ligents plegables. Demostració d'una làmpada OLED flexible alimentada per bateries de Merck KGaA. Un díode emissor de llum orgànic flexible (FOLED) és un tipus de díode emissor de llum orgànic (OLED) que incorpora un substrat plàstic flexible sobre el qual es diposita el semiconductor orgànic electroluminescent.

Veure Oblia (electrònica) і Díode emissor de llum orgànic flexible

Deposició de làser polsat

Una possible configuració d'una cambra de deposició de PLD. Les pel·lícules primes d'òxids es dipositen amb precisió de capa atòmica mitjançant deposició làser polsada. En aquesta imatge, un làser polsat d'alta intensitat dispara un disc blanc giratori d'Al ₂ O ₃ (alúmina).

Veure Oblia (electrònica) і Deposició de làser polsat

Deposició per pulverització

Un esquema de la deposició de pols. SEM. La deposició per pulverització catòdica (amb acrònim anglès PVD) és un mètode de deposició física de vapor de pel·lícula fina pel fenomen de la pulverització.

Veure Oblia (electrònica) і Deposició per pulverització

Dispositiu semiconductor

enllaç.

Veure Oblia (electrònica) і Dispositiu semiconductor

Disseny de circuits integrats

Vista de disseny d'un simple amplificador operacional CMOS (les entrades estan a l'esquerra i el condensador de compensació a la dreta). La capa metàl·lica és de color blau, verd i marró són Si dopats amb N i P, el polisilici és vermell i les vies són creus.

Veure Oblia (electrònica) і Disseny de circuits integrats

Dopatge monocapa

(a) Imatge ADF-STEM de la monocapa WS2 dopada amb Nb. (b) Imatge ampliada i (c) model d'estructura de l'àrea indicada per un quadre blanc a a. Les esferes blaves, vermelles i grogues indiquen àtoms W, Nb i S, respectivament. (d) Espectres Raman de monocapes WS2 no dopades, dopades amb Nb i dopades amb Mo.

Veure Oblia (electrònica) і Dopatge monocapa

ECAD

Fig.1 Exemple de programari per a disseny de PCBs ECAD (acrònim anglès de electronic computer-aided design) és una categoria d'eines de programari per a dissenyar sistemes electrònics tals com circuits electrònics i circuits impresos o PCB.

Veure Oblia (electrònica) і ECAD

Electrònica impresa

Impressió, mitjançant rotogravat, d'estructures electròniques en paper. Lelectrònica impresa o electrònica orgànica és un conjunt de mètodes d'impressió utilitzats per crear dispositius elèctrics en diversos substrats.

Veure Oblia (electrònica) і Electrònica impresa

Encapsulat a nivell d'oblia

Un encapsulat a nivell d'oblia connectat a una placa de circuit imprès. L'encapsulat a nivell d'oblia (amb acrònim anglès WLP) és un procés en què els components d'encapsulat s'uneixen a un circuit integrat (IC) abans de tallar l'oblia, sobre la qual es fabrica l'IC.

Veure Oblia (electrònica) і Encapsulat a nivell d'oblia

Encapsulat de semiconductor

Aquesta rèplica del primer transistor de laboratori mostra cables de connexió i un pot de vidre per protegir; empaquetar el dispositiu va ser fonamental per al seu èxit. Variacions de l'encapsulat DIP. Un encapsulat de semiconductors és una carcassa de metall, plàstic, vidre o ceràmica que conté un o més dispositius semiconductors discrets o circuits integrats.

Veure Oblia (electrònica) і Encapsulat de semiconductor

Encapsulat dels circuits integrats

p.

Veure Oblia (electrònica) і Encapsulat dels circuits integrats

Encapsulat FOWL

paquet eWLB, la primera tecnologia FO-WLP comercialitzada. L'encapsulat a nivell d'oblia (també conegut com a encapsulat a nivell d'oblia, amb acrònims anglesos WLP, FOWL, FO-WLP, FOWLP, etc.) és una tecnologia d'embalatge de circuits integrats i una millora de l'oblia estàndard.

Veure Oblia (electrònica) і Encapsulat FOWL

Energia solar espacial

El Sol sobre la Terra. pèrdues de cosinus, pels captadors fixos de plat pla) a causa de la rotació de la Terra. Lenergia solar espacial (en anglès Space-based solar power, SSP), terme estretament relacionat amb satèl·lit d'energia solar (en anglès Solar Power Satellite), és la conversió d'energia solar adquirida a l'espai en qualsevol altre tipus d'energia (principalment electricitat), la qual es pot usar en el mateix espai o bé es pot transmetre a la Terra.

Veure Oblia (electrònica) і Energia solar espacial

Epitàxia

Lepitàxia es refereix a un tipus de creixement cristal·lí o deposició material en el qual les noves capes es formen sobre un substrat cristal·lí, amb orientacions ben definides respecte a aquest.

Veure Oblia (electrònica) і Epitàxia

Espal·lació

L'espal·lació com a resultat d'un impacte por ocórrer amb penetració de l'objecte impactant o sense L'espal·lació (de l'anglès spall, 'astella') és, en general, un procés en el qual fragments de material s'ejecten d'un cos a causa de l'impacte o l'estrès.

Veure Oblia (electrònica) і Espal·lació

Estructura MOS

280x280px Lestructura MOS (Metal-Oxide-Semiconductor) consisteix en un condensador, en el que una de les armadures és metàl·lica, anomenada "porta", el dielèctric es forma amb un òxid del semiconductor del substrat, i l'altra armadura és un semiconductor, que anomenarem substrat.

Veure Oblia (electrònica) і Estructura MOS

Fabless

Fig.1 Circuit Integrat Fabless (mot anglès que significa sense fabricació) és el que es diu d'una empresa d'electrònica quan no té fabricació pròpia de circuits integrats.

Veure Oblia (electrònica) і Fabless

Física dels Led

el diagrama de bandes (a baix). Els díodes emissors de llum (LED) produeixen llum (o radiació infraroja) mitjançant la recombinació d'electrons i forats d'electrons en un semiconductor, un procés anomenat "electroluminescència".

Veure Oblia (electrònica) і Física dels Led

Feix d'ions

Un petit coet de feix d'ions que està provant la NASA. Font d'ions Un feix d'ions és un tipus de feix de partícules carregades format per ions.

Veure Oblia (electrònica) і Feix d'ions

FEOL

Vista de tall vertical d'un integrat CMOS sobre un substrat de silici i capes FEOL a la part inferior. FEOL (acrònim anglès, front-end-of-line, fase primera de producció) és la primera part de la fabricació d'IC on els dispositius individuals (transistors, condensadors, resistències, etc.) estan modelats al semiconductor.

Veure Oblia (electrònica) і FEOL

Fosfur de gal·li

El fosfur de gal·li (amb fórmula química GaP), és un material semiconductor compost amb una banda intercalada indirecta de 2,24eV a temperatura ambient.

Veure Oblia (electrònica) і Fosfur de gal·li

Fotolitografia (electrònica)

1349x1349px Fotolitografia (també, litografia òptica o litografia UV), en electrònica, és un procés utilitzat en microfabricació mitjançant l'estampació fotoquímica sobre un substrat de silici anomenat oblia. La fotolitografia fa servir la llum per transferir un model geomètric extremadament petit des d'una fotomàscara a un substrat sensible a la llum.

Veure Oblia (electrònica) і Fotolitografia (electrònica)

GlobalWafers

GlobalWafers és una empresa de fabricació de tecnologia taiwanesa.

Veure Oblia (electrònica) і GlobalWafers

Gravat (microfabricació)

Procés de microgravat. El gravat s'utilitza en la microfabricació per eliminar químicament les capes de la superfície d'una oblia electrònica durant la fabricació.

Veure Oblia (electrònica) і Gravat (microfabricació)

Gravat d'ions reactius

El gravat d'ions reactius (amb acrònim anglès RIE) és una tecnologia de gravat utilitzada en la microfabricació.

Veure Oblia (electrònica) і Gravat d'ions reactius

Gravat d'ions reactius profunds

Un micropilar de silici fabricat mitjançant el procés Bosch. Paret lateral ondulada d'una estructura de silici creada amb el procés Bosch. El gravat d'ions reactius profunds (DRIE) és un procés de gravat altament anisotròpic que s'utilitza per crear una penetració profunda, forats de costats escarpats i rases en oblies/substrats, normalment amb altes relacions d'aspecte.

Veure Oblia (electrònica) і Gravat d'ions reactius profunds

Gravat de Wright

Margaret Wright Jenkins; 1936–2018. El gravat de Wright (també Wright-Jenkins etch) és un gravat preferent per revelar defectes en oblies de silici de tipus p i n orientades a i utilitzades per fabricar transistors, microprocessadors, memòries i altres components.

Veure Oblia (electrònica) і Gravat de Wright

Gravat en sec

Imatge SEM de Plasma acoblat inductivament - Gravat d'ions reactius profunds (ICP-DRIE) en silici. La imatge mostra una estructura de prova que es va utilitzar per provar diversos paràmetres de procés. El gravat en sec es refereix a l'eliminació de material, normalment un patró emmascarat de material semiconductor, exposant el material a un bombardeig d'ions (generalment un plasma de gasos reactius com ara fluorocarburs, oxigen, clor, triclorur de bor; de vegades amb addició de nitrogen, argó, heli i altres gasos) que allunyen porcions del material de la superfície exposada.

Veure Oblia (electrònica) і Gravat en sec

Heli

Lheli és l'element químic de nombre atòmic 2 i representat pel símbol He.

Veure Oblia (electrònica) і Heli

KLA Corporation

KLA Corporation és una empresa nord-americana d'equips d'instrumentació electrònica amb seu a Milpitas, Califòrnia.

Veure Oblia (electrònica) і KLA Corporation

Lab-on-a-chip

sistemes microelectromecànics, de vegades anomenat "laboratori en un xip". Un lab-on-a-chip (amb acrònim anglès LOC) és un dispositiu que integra una o diverses funcions de laboratori en un únic circuit integrat (comunament anomenat "xip") de només mil·límetres a uns quants centímetres quadrats per aconseguir l'automatització i el cribratge d'alt rendiment.

Veure Oblia (electrònica) і Lab-on-a-chip

Lam Research

Lam Research Corporation és un proveïdor nord-americà d'equips de fabricació d'oblies electròniques i serveis relacionats per a la indústria dels semiconductors.

Veure Oblia (electrònica) і Lam Research

Línia de transmissió plana

regions perforades per sobre i per sota no són línies de transmissió, sinó blindatge electromagnètic per al circuit. Les línies de transmissió planes són línies de transmissió amb conductors, o en alguns casos tires dielèctriques (aïllants), que són línies planes amb forma de cinta.

Veure Oblia (electrònica) і Línia de transmissió plana

Lògica d'injecció integrada

Esquema simplificat d'un inversor I2L. La lògica d'injecció integrada (IIL, I2L o I2L) és una classe de circuits digitals construïts amb transistors d'unió bipolar de col·lectors múltiples (BJT).

Veure Oblia (electrònica) і Lògica d'injecció integrada

Litografia per immersió

oblia. La litografia per immersió és una tècnica de millora de la resolució de fotolitografia per a la fabricació de circuits integrats (CI) que substitueix l'espai d'aire habitual entre la lent final i la superfície de l'oblia per un medi líquid que té un índex de refracció superior a un.

Veure Oblia (electrònica) і Litografia per immersió

Litografia sense màscara

La litografia sense màscara (MPL) és una tecnologia semblant a la fotolitografia sense fotomàscara que s'utilitza per projectar o escriure el patró d'imatge en un punt focal sobre un substrat recobert de resistència química (per exemple, oblies electròniques) mitjançant radiació UV o feix d'electrons.

Veure Oblia (electrònica) і Litografia sense màscara

Llei de Moore

Dades històriques i llei de Moore. L'anomenada Llei de Moore és l'observació que el nombre de transistors en un circuit integrat dens (IC en l'acrònim anglès) es duplica aproximadament cada dos anys.

Veure Oblia (electrònica) і Llei de Moore

LOCOS

Estructura on s'hi aprecia l'oxidació del silici LOCOS, acrònic anglès de LOCal Oxidation of Silicon, és un procés de microfabricació on el diòxid de silici es forma en zones seleccionades d'una oblia de silici que té la interfície Si-SiO₂ en un punt més baix que la resta de la superfície de silici.

Veure Oblia (electrònica) і LOCOS

Matriu de portes

En electrònica, una matriu de portes (gate array en anglès) o Uncommitted Logic Array (ULA, o «matriu lògica no encarregada» o «no compromesa») és una tècnica per dissenyar i implementar un circuit integrat d'aplicació específica (Application-Specific Integrated Circuit o ASIC), utilitzant un xip prefabricat amb dispositius actius com ara portes AND, etc., que són posteriorment interconnectats mitjançant una capa de màscara en ordre d'encàrrec.

Veure Oblia (electrònica) і Matriu de portes

Màscara de canvi de fase

Una il·lustració esquemàtica de diversos tipus de màscares: (a) una màscara convencional (binària); (b) una màscara de canvi de fase alterna; (c) una màscara de canvi de fase atenuada. Les màscares de canvi de fase són fotomàscares que aprofiten la interferència generada per les diferències de fase per millorar la resolució de la imatge en fotolitografia.

Veure Oblia (electrònica) і Màscara de canvi de fase

Memòria d'accés aleatori

La memòria d'accés aleatori o RAM és un tipus de memòria informàtica, caracteritzat per un accés directe en qualsevol ordre en un temps constant, sense distinció de la posició on es trobi la informació ni de la posició de l'anterior lectura.

Veure Oblia (electrònica) і Memòria d'accés aleatori

Microprocessador

Intel-i860 Un microprocessador és un processador miniaturitzat fins al punt de fer possible de tenir un únic circuit integrat amb totes o la major part de les seves funcionalitats.

Veure Oblia (electrònica) і Microprocessador

MOVPE

Il·lustració del procés MOVPE L'epitaxia en fase de vapor metalorgànica (amb acrònim anglès MOVPE), també coneguda com a epitaxia en fase de vapor organometàl·lica (OMVPE) o deposició de vapor químic metalorgànic (MOCVD), és un mètode de deposició de vapor químic utilitzat per produir pel·lícules primes simples o policristalines.

Veure Oblia (electrònica) і MOVPE

Multiple patterning

Fig.1 Cas concret de Double Patterning (estampació doble) aplicant la tècnica de SADP: a partir d'unes estructures incials (a) s'aconsegueix estructures de doble densitat (f) Multiple patterning (en anglès estampació múltiple) és un tipus de tecnologia per a fabricar circuits integrats (IC), desenvolupada mitjançant la tècnica de fotolitografia i amb la finalitat d'augmentar la densitat de les estructures internes dels circuits integrats.

Veure Oblia (electrònica) і Multiple patterning

Nitrur d'alumini

El nitrur d'alumini (Al N) és un nitrur sòlid d'alumini.

Veure Oblia (electrònica) і Nitrur d'alumini

Oblia

Reconstrucció gràfica d'un oblia segons el motlle de ferro de la imatge de més avall Una oblia és un pastís que data de l'edat mitjana, de forma prima i rodona, composta de farina i aigua, llet o vi blanc amb ous, sucre i de vegades, mel.

Veure Oblia (electrònica) і Oblia

Oblia epitaxial

'''Figura 1'''. Vistes en secció transversal dels tres modes principals de creixement de pel·lícula prima, incloent (a) Volmer–Weber (VW: formació d'illes), (b) Frank–van der Merwe (FM: capa per capa) i (c) Stranski–Krastanov (SK: capa-plus-illa).

Veure Oblia (electrònica) і Oblia epitaxial

Oxidació tèrmica

Màquina que s'empra per a l'oxidació tèrmica. En la microfabricació, l'oxidació tèrmica és una manera de produir una fina capa d'òxid (generalment diòxid de silici) a la superfície d'una oblia.

Veure Oblia (electrònica) і Oxidació tèrmica

Panell fotovoltaic

Els panells solars fotovoltaics, mòduls fotovoltaics o col·lectors solars fotovoltaics (anomenats de vegades panells solars, encara que aquesta denominació inclou altres dispositius),També anomenat mòdul, placa, captador, plafó o col·lector solar fotovoltaic estan formats per un conjunt de cel·les fotovoltaiques que produeixen electricitat a partir de la llum que incideix sobre ells (energia solar).

Veure Oblia (electrònica) і Panell fotovoltaic

Passivació

Mecanisme de passivació de l'acer inoxidable La passivació, dins l'entorn de la química física i l'enginyeria, es refereix al fet recobrir un material perquè esdevingui «passiu», és a dir, menys fàcilment afectat o corroït pel medi ambient.

Veure Oblia (electrònica) і Passivació

Planta de fabricació de semiconductors

oblia de silici gravada Una planta de fabricació de semiconductors (en anglès: semiconductor fabrication plant) o fab, és una fàbrica on es produeixen circuits integrats.

Veure Oblia (electrònica) і Planta de fabricació de semiconductors

Poliment de les oblies

Oblia de semiconductor de silici amb acabat mirall. El poliment de les oblies és un pas de fabricació de dispositius semiconductors durant el qual es redueix el gruix de les oblies per permetre l'apilament i l'embalatge d'alta densitat de circuits integrats (IC).

Veure Oblia (electrònica) і Poliment de les oblies

Poliment químic mecànic

Principi funcional del CMP El poliment químic mecànic (amb acrònim anglès CMP) o planarització és un procés d'allisament de superfícies amb la combinació de forces químiques i mecàniques.

Veure Oblia (electrònica) і Poliment químic mecànic

Porta autoalineada

Diagrama d'un MOSFET estàndard En la tecnologia de fabricació d'electrònica de semiconductors, una porta autoalineada és una característica de fabricació de transistors mitjançant la qual l'elèctrode de porta d'un MOSFET (transistor d'efecte de camp d'òxid metàl·lic i semiconductor) s'utilitza com a màscara per al dopatge de les regions d'origen i drenatge.

Veure Oblia (electrònica) і Porta autoalineada

Porta metàl·lica

autor.

Veure Oblia (electrònica) і Porta metàl·lica

Procés Czochralski

El procés o mètode de Czochralski consisteix en un procediment per a l'obtenció de lingots monocristal·lins.

Veure Oblia (electrònica) і Procés Czochralski

Procés planar

Fig.1 Tall en secció simplificada de la unió d'un transistor bipolar npn en tecnologia planar. Procés planar (o tecnologia de fabricació planar) és una tecnologia de fabricació usada en la indústria de semiconductors per a construir transistors, i alhora connectar-los entre ells.

Veure Oblia (electrònica) і Procés planar

Processament tèrmic ràpid

Màquina que s'empra per a l'oxidació tèrmica. El processament tèrmic ràpid (amb acrònim anglès RTP) és un procés de fabricació de semiconductors que escalfa les oblies de silici a temperatures superiors als 1.000 °C durant no més d'uns segons.

Veure Oblia (electrònica) і Processament tèrmic ràpid

Protecció del disseny del circuit integrat

Llei de disseny de circuits integrats de semiconductors, 2000 Els dissenys de maquetació (topografies) de circuits integrats són un camp en la protecció de la propietat intel·lectual.

Veure Oblia (electrònica) і Protecció del disseny del circuit integrat

Proves d'oblies sense contacte

Un sondador d'oblies de semiconductor Electroglas 4090μ+ en configuració de servei, amb el capçal de prova, la targeta de la sonda, els panells de coberta i altres accessoris eliminats. Es mostren les parts internes; es mostren el motor lineal i la platina, el mandril i l'escenari i els elements de manipulació de material.

Veure Oblia (electrònica) і Proves d'oblies sense contacte

RCA clean

El RCA clean és un conjunt estàndard de passos de neteja d'oblies que s'han de realitzar abans dels passos de processament a alta temperatura (oxidació, difusió, CVD) de oblies de silici en la fabricació de semiconductors.

Veure Oblia (electrònica) і RCA clean

Rellotge de quars

Rellotge de quars obert a la part posterior. Distingim: el ressonador de quars (bobina metàl·lica, esquerra); la bateria del botó (dreta) i la bobina del motor pas a pas (a sota). Un rellotge de quars o electrònic utilitza un oscil·lador electrònic controlat per un ressonador de cristall de quars.

Veure Oblia (electrònica) і Rellotge de quars

Ruptura de l'òxid de la porta depenent del temps

Imatge de ruptura elèctrica d'òxid de la porta d'un transistor MOS (línia vermella) La ruptura de l'òxid de la porta depenent del temps (o ruptura dielèctrica depenent del temps, amb acrònim anglès TDDB) és una mena d'envelliment del transistor, un mecanisme de fallada en els MOSFET, quan l'òxid de la porta es trenca com a resultat de l'aplicació durant molt de temps d'un camp elèctric relativament baix (com oposat a l'avaria immediata, que és causada per un fort camp elèctric).

Veure Oblia (electrònica) і Ruptura de l'òxid de la porta depenent del temps

Semiconductor Manufacturing International Corporation

Semiconductor Manufacturing International Corporation (amb acrònim anglès SMIC) és una empresa xinesa de foneria de semiconductors de joc pur que cotitza en borsa, parcialment estatal.

Veure Oblia (electrònica) і Semiconductor Manufacturing International Corporation

Silici cristal·lí

mono-Si (dreta) El silici cristal·lí (c-Si) és les formes cristal·lines del silici, ja sigui silici policristalí (poli-Si, format per petits cristalls), o silici monocristal·lí (mono-Si, un cristall continu).

Veure Oblia (electrònica) і Silici cristal·lí

Silici monocristal·lí

Silici monocristal·lí El silici monocristal·lí (o, en anglès: "single-crystal silicon", "single-crystal Si", "mono c-Si", o mono-Si) és el material base per xip de silici utilitzat en pràcticament tots els equips electrònics d'avui.

Veure Oblia (electrònica) і Silici monocristal·lí

Silici policristal·lí

''Esquerra'': cel·lules solars fetes de silici multicristal·lí ''Dreta'':vareta de polisilici (part superior) i trossos (sota) El silici policristal·lí, també anomenat en anglès: polysilicon o poly-Si, és una forma policristal·lina d'alta puresa de silici, usada com matèria primera per a cèl·lules fotovoltaiques i en la indústria electrònica.

Veure Oblia (electrònica) і Silici policristal·lí

Silici sobre aïllant

Fig.1 Tecnologia SOI Silici sobre aïllant (SOI en anglès) és una tecnologia de fabricació de circuits integrats que utilitza una capa d'aïllant sobre silici com a substrat.

Veure Oblia (electrònica) і Silici sobre aïllant

Silici sobre safir

Secció transversal d'un circuit integrat sobre un substrat de silici sobre safir (SOS). El silici sobre safir (SOS) és un procés heteroepitaxial per a la fabricació de circuits integrats (IC) d'òxid metàl·lic i semiconductor (MOS) que consta d'una capa fina (normalment més fina que 0,6µm) de silici cultivat sobre una oblia de safir (Al2O3).

Veure Oblia (electrònica) і Silici sobre safir

Silici tensat

Figura del Silici estirat Model de silici tensat per a semiconductors amb text japonès. El silici tensat és una capa de silici en la qual els àtoms de silici s'estiren més enllà de la seva distància interatòmica normal.

Veure Oblia (electrònica) і Silici tensat

Silici-germani

Estructura cristal·lina del silici-germani SiGe (o), o silici-germani, és un aliatge amb qualsevol relació molar de silici i germani, és a dir, amb una fórmula molecular de la forma Si1− x Gex.

Veure Oblia (electrònica) і Silici-germani

Siltronic

Siltronic AG és un fabricant d'oblies fetes de silici hiperpur, la base de la micro i nanotecnologia moderna.

Veure Oblia (electrònica) і Siltronic

Stepper

Un stepper de làmpada de vapor de mercuri a Facultat Ciència i Tecnologia de Cornell NanoScale. (La foto ha estat presa sota llum inactínica.) Un stepper és un dispositiu utilitzat en la fabricació de circuits integrats que és similar en funcionament a un projector de portaobjectes o una ampliadora fotogràfica.

Veure Oblia (electrònica) і Stepper

Sublimació

Sublimació de gel sec. La sublimació és un canvi d'estat de la matèria consistent en el pas directe d'estat sòlid a gasós o bé d'estat gasós a sòlid.

Veure Oblia (electrònica) і Sublimació

Substrat

* Substrat (lingüística), llengua que es parlava en un territori i que ha deixat alguns trets lingüístics en la nova llengua que s'hi ha implantat.

Veure Oblia (electrònica) і Substrat

Substrat en electrònica de potència

Estructura d'un substrat de coure unit directe (superior) i un substrat metàl·lic aïllat (inferior). El paper del substrat en electrònica de potència és proporcionar les interconnexions per formar un circuit elèctric (com una placa de circuit imprès) i refredar els components.

Veure Oblia (electrònica) і Substrat en electrònica de potència

System in package

Dibuix CAD d'un multixip SiP que conté un processador, memòria i emmagatzematge en un sol substrat. Un sistema en un encapsulat (amb acrònim anglès SiP) és un nombre de circuits integrats tancats en un o més paquets de suport de xips que es poden apilar utilitzant paquet a paquet.

Veure Oblia (electrònica) і System in package

Tall de l'oblia

url.

Veure Oblia (electrònica) і Tall de l'oblia

Tecnologies de millora de la resolució

Les tecnologies de millora de la resolució (RET) són mètodes utilitzats per modificar les fotomàscares en els processos litogràfics utilitzats per fer circuits integrats (CI o "xips") per compensar les limitacions en la resolució òptica dels sistemes de projecció.

Veure Oblia (electrònica) і Tecnologies de millora de la resolució

Transistor d'unió difusa

Comparació de les tecnologies mesa (esquerra) i planar (Hoerni, dreta). Les dimensions es mostren esquemàticament. Un transistor d'unió difusa és un transistor format mitjançant la difusió de dopants en un substrat semiconductor.

Veure Oblia (electrònica) і Transistor d'unió difusa

Unió d'oblies

pages.

Veure Oblia (electrònica) і Unió d'oblies

United Microelectronics Corporation

United Microelectronics Corporation (UMC) és una empresa taiwanesa amb seu a Hsinchu, Taiwan.

Veure Oblia (electrònica) і United Microelectronics Corporation

VCSEL

Diagrama d'una estructura VCSEL simple. Una estructura de dispositiu VCSEL realista. Aquest és un VCSEL ''de múltiples pous quàntics que'' ''emet fons''. El làser d'emissió de superfície de cavitat vertical (amb acrònim anglès VCSEL) és un tipus de díode làser semiconductor amb emissió de raig làser perpendicular des de la superfície superior, al contrari dels làsers semiconductors convencionals que emeten per la vora, que emeten des de superfícies formades en escindir el xip individual de l'oblia.

Veure Oblia (electrònica) і VCSEL

Via silici a través

banda alta (HBM). En enginyeria electrònica, una via de silici (amb acrònim anglès TSV) o via de xip és una connexió elèctrica vertical (via) que passa completament a través d'una oblia o matriu de silici.

Veure Oblia (electrònica) і Via silici a través

Wire bonding

Fig.2 Vista microscòpica del Wire bonding Wire bonding és un procés d'interconnexió entre un circuit integrat o dispositiu semiconductor i el seu encapsulat, durant el procés de fabricació del dispositiu semiconductor.

Veure Oblia (electrònica) і Wire bonding

WSI

Trilogy Systems. La integració a escala d'oblia (amb acrònim WSI) és un sistema poc utilitzat per construir xarxes de circuits integrats molt grans (comunament anomenades "xip") a partir d'una oblia sencera de silici per produir un únic "superxip".

Veure Oblia (electrònica) і WSI

Xarxa de guies d'ona en matriu

canvi de fase diferent a la sortida de les fibres. Aleshores, la llum travessa un altre espai lliure '''(4)''' i interfereix a les entrades de les guies d'ona de sortida '''(5)''' de manera que cada canal de sortida només rep llum d'una determinada longitud d'ona.

Veure Oblia (electrònica) і Xarxa de guies d'ona en matriu

Xip multiprojecte

MPC que consta de cinc dissenys CMOS IC i pocs transistors de prova N i PMOS. Els acords de fabricació de semiconductors de xip multiprojecte (amb acrònim anglès MPC) i oblia multiprojecte (amb acrònim anglès MPW) permeten als clients compartir els costos de fabricació de màscares i oblies de microelectrònica entre diversos dissenys o projectes.

Veure Oblia (electrònica) і Xip multiprojecte

Yangtze Memory Technologies

Yangtze Memory Technologies Corp (amb acrònim anglès YMTC) és un fabricant de dispositius integrats de semiconductors de propietat estatal xinès especialitzat en xips de memòria flash (NAND).

Veure Oblia (electrònica) і Yangtze Memory Technologies

10 nanòmetres

10 nanòmetres (10 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 10 nm.

Veure Oblia (electrònica) і 10 nanòmetres

14 nanòmetres

14 nanòmetres (14 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 14 nm.

Veure Oblia (electrònica) і 14 nanòmetres

22 nanòmetres

22 nanòmetres (22 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 22 nm.

Veure Oblia (electrònica) і 22 nanòmetres

32 nanòmetres

32 nanòmetres (32 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 32 nm.

Veure Oblia (electrònica) і 32 nanòmetres

45 nanòmetres

45 nanòmetres (45 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 45 nm.

Veure Oblia (electrònica) і 45 nanòmetres

65 nanòmetres

65 nanòmetres (65 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 65 nm.

Veure Oblia (electrònica) і 65 nanòmetres

90 nanòmetres

90 nanòmetres (90 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 90 nm.

Veure Oblia (electrònica) і 90 nanòmetres

També conegut com Hòstia (electrònica), Substrat (electrònica), Wafer (electrònica).

, Heli, KLA Corporation, Lab-on-a-chip, Lam Research, Línia de transmissió plana, Lògica d'injecció integrada, Litografia per immersió, Litografia sense màscara, Llei de Moore, LOCOS, Matriu de portes, Màscara de canvi de fase, Memòria d'accés aleatori, Microprocessador, MOVPE, Multiple patterning, Nitrur d'alumini, Oblia, Oblia epitaxial, Oxidació tèrmica, Panell fotovoltaic, Passivació, Planta de fabricació de semiconductors, Poliment de les oblies, Poliment químic mecànic, Porta autoalineada, Porta metàl·lica, Procés Czochralski, Procés planar, Processament tèrmic ràpid, Protecció del disseny del circuit integrat, Proves d'oblies sense contacte, RCA clean, Rellotge de quars, Ruptura de l'òxid de la porta depenent del temps, Semiconductor Manufacturing International Corporation, Silici cristal·lí, Silici monocristal·lí, Silici policristal·lí, Silici sobre aïllant, Silici sobre safir, Silici tensat, Silici-germani, Siltronic, Stepper, Sublimació, Substrat, Substrat en electrònica de potència, System in package, Tall de l'oblia, Tecnologies de millora de la resolució, Transistor d'unió difusa, Unió d'oblies, United Microelectronics Corporation, VCSEL, Via silici a través, Wire bonding, WSI, Xarxa de guies d'ona en matriu, Xip multiprojecte, Yangtze Memory Technologies, 10 nanòmetres, 14 nanòmetres, 22 nanòmetres, 32 nanòmetres, 45 nanòmetres, 65 nanòmetres, 90 nanòmetres.