Logo
Uniopèdia
Comunicació
Disponible a Google Play
Nou! Descarregar Uniopèdia al dispositiu Android™!
Descarregar
Accés més ràpid que el navegador!
 

Epitàxia

Índex Epitàxia

Lepitàxia es refereix a un tipus de creixement cristal·lí o deposició material en el qual les noves capes es formen sobre un substrat cristal·lí, amb orientacions ben definides respecte a aquest.

27 les relacions: Aluminat de lantà, BC548, Cel·la fotovoltaica, Díode túnel ressonant, Deposició química de vapor, Dopatge de modulació, Energia solar espacial, Epitaxia de feix molecular, Fabricació de circuits integrats, Fosfur d'indi alumini gal·li, Implantació iònica, Interfície aluminat de lantà-titanat d'estronci, Isamu Akasaki, LPE, Matèria granular, Mètode sandvitx de sublimació, Nitrur d'alumini, Oblia (electrònica), Oblia epitaxial, Pou quàntic, Procés Czochralski, Roxbyita, Semiconductors magnètics, Silici sobre safir, Simulació de processos de semiconductors, Trifilita, Troegerita.

Aluminat de lantà

L'aluminat de lantà és un compost inorgànic amb la fórmula LaAlO₃, sovint abreujat com LAO.

Nou!!: Epitàxia і Aluminat de lantà · Veure més »

BC548

Transistor BC548 Imatge amb paràmetres El BC548 és un transistor d'unió bipolar NPN de propòsit general que s'utilitza habitualment en equips electrònics europeus i americans.

Nou!!: Epitàxia і BC548 · Veure més »

Cel·la fotovoltaica

polzades Símbol de la cel·la fotovoltaica Una cel·la fotovoltaica, també anomenada cèl·lula fotovoltaica o cèl·lula solar és un dispositiu electrònic que permet transformar, mitjançant l'efecte fotovoltaic, l'energia solar en energia elèctrica, o més específicament, l'energia lluminosa (fotons) en electricitat (electrons).

Nou!!: Epitàxia і Cel·la fotovoltaica · Veure més »

Díode túnel ressonant

al bandgap. Un díode túnel ressonant (RTD) és un díode amb una estructura de túnel ressonant en què els electrons presenten efecte túnel a través d'alguns estats de ressonància a determinats nivells d'energia.

Nou!!: Epitàxia і Díode túnel ressonant · Veure més »

Deposició química de vapor

CVD tèrmic de paret calenta (tipus d'operació per lots) La deposició química de vapor o DQV és un procés químic per a dipositar capes primes de diversos materials sobre un substrat.

Nou!!: Epitàxia і Deposició química de vapor · Veure més »

Dopatge de modulació

Il·lustració del dopatge de tipus n i p en un cristall semiconductor com el Si, amb diagrames de nivell d'energia acompanyats que mostren l'efecte del dopatge al nivell de Fermi El dopatge de modulació és una tècnica per fabricar semiconductors de manera que els portadors de càrrega lliure estiguin separats espacialment dels donants.

Nou!!: Epitàxia і Dopatge de modulació · Veure més »

Energia solar espacial

El Sol sobre la Terra. pèrdues de cosinus, pels captadors fixos de plat pla) a causa de la rotació de la Terra. Lenergia solar espacial (en anglès Space-based solar power, SSP), terme estretament relacionat amb satèl·lit d'energia solar (en anglès Solar Power Satellite), és la conversió d'energia solar adquirida a l'espai en qualsevol altre tipus d'energia (principalment electricitat), la qual es pot usar en el mateix espai o bé es pot transmetre a la Terra.

Nou!!: Epitàxia і Energia solar espacial · Veure més »

Epitaxia de feix molecular

Un esbós senzill que mostra els components principals i la disposició i el concepte aproximats de la cambra principal en un sistema d'epitaxi de feix molecular. Instal·lació de MBE. L'epitaxia de feix molecular (amb acrònim anglès MBE) és un mètode d'epitaxia per a la deposició de pel·lícula fina de cristalls senzills.

Nou!!: Epitàxia і Epitaxia de feix molecular · Veure més »

Fabricació de circuits integrats

Sala neta de la NASA. La fabricació de circuits integrats és un procés complex i en el qual intervenen nombroses etapes.

Nou!!: Epitàxia і Fabricació de circuits integrats · Veure més »

Fosfur d'indi alumini gal·li

Estructura cristal·lina del Fosfur d'indi alumini gal·li Fosfur d'indi alumini gal·li (amb fórmula química AlInGaP, InGaAlP, GaInP) és un material semiconductor que proporciona una plataforma per al desenvolupament de nous dispositius fotovoltaics i optoelectrònics multiunió, ja que abasta una banda buida directa des de l'ultraviolat profund fins a l'infraroig.

Nou!!: Epitàxia і Fosfur d'indi alumini gal·li · Veure més »

Implantació iònica

Configuració d'implantació iònica amb separador de massa. La implantació iònica és un procés a baixa temperatura pel qual els ions d'un element s'acceleren en un objectiu sòlid, canviant així les propietats físiques, químiques o elèctriques de l'objectiu.

Nou!!: Epitàxia і Implantació iònica · Veure més »

Interfície aluminat de lantà-titanat d'estronci

el titanat d'estronci (SrTiO₃). La interfície entre l'aluminat de lantà (LaAlO₃) i el titanat d'estronci (SrTiO₃) és una interfície de materials notable perquè presenta propietats que no es troben en els seus materials constitutius.

Nou!!: Epitàxia і Interfície aluminat de lantà-titanat d'estronci · Veure més »

Isamu Akasaki

fou un enginyer i físic japonès, guardonat amb el Premi Nobel de Física l’any 2014, especialitzat en el camp dels semiconductors, conegut per la invenció dels LED blaus mitjançant una junció P–N de nitrur de gal·li (GaN) el 1989.

Nou!!: Epitàxia і Isamu Akasaki · Veure més »

LPE

* Divisòria d'aigües (del seu nom en francès Ligne de Partage des Eaux), frontera hidrogràfica entre dues conques.

Nou!!: Epitàxia і LPE · Veure més »

Matèria granular

Exemples de matèria granular: esferes de plàstic, grava, llenties i llavors de sèsam. La matèria granular o granulada és aquella que està formada per un conjunt de partícules macroscòpiques sòlides prou grans perquè l'única força d'interacció entre elles sigui la de fricció.

Nou!!: Epitàxia і Matèria granular · Veure més »

Mètode sandvitx de sublimació

carbur de disilici, Ar és argó gasós. El mètode sandvitx de sublimació (també anomenat procés sandvitx de sublimació i tècnica sandvitx de sublimació) és una mena de deposició física de vapor que s'utilitza per crear cristalls artificials.

Nou!!: Epitàxia і Mètode sandvitx de sublimació · Veure més »

Nitrur d'alumini

El nitrur d'alumini (Al N) és un nitrur sòlid d'alumini.

Nou!!: Epitàxia і Nitrur d'alumini · Veure més »

Oblia (electrònica)

Una oblia de silici gravada En microelectrònica, una oblia és una fina planxa de material semiconductor, com per exemple cristall de silici, sobre la qual es construeixen microcircuits mitjançant tècniques de dopat (per exemple, difusió o implantació d'ions), gravat químic i deposició de diversos materials.

Nou!!: Epitàxia і Oblia (electrònica) · Veure més »

Oblia epitaxial

'''Figura 1'''. Vistes en secció transversal dels tres modes principals de creixement de pel·lícula prima, incloent (a) Volmer–Weber (VW: formació d'illes), (b) Frank–van der Merwe (FM: capa per capa) i (c) Stranski–Krastanov (SK: capa-plus-illa). Cada mode es mostra per a diferents quantitats de cobertura de superfície, Θ. Una oblia epitaxial (també anomenada oblia epitaxial, epi-oblia, o epiwafer) és una oblia de material semiconductor feta per creixement epitaxial (epitaxia) per al seu ús en fotònica, microelectrònica, espintrònica, o fotovoltaica.

Nou!!: Epitàxia і Oblia epitaxial · Veure més »

Pou quàntic

Un pou quàntic (quantum well en anglès) és un pou de potencial que confina, en dues dimensions, partícules que originalment tenien llibertat per moure's en tres, forçant-les a ocupar una «zona plana».

Nou!!: Epitàxia і Pou quàntic · Veure més »

Procés Czochralski

El procés o mètode de Czochralski consisteix en un procediment per a l'obtenció de lingots monocristal·lins.

Nou!!: Epitàxia і Procés Czochralski · Veure més »

Roxbyita

La roxbyita és un mineral de la classe dels sulfurs que pertany al grup calcocita-digenita.

Nou!!: Epitàxia і Roxbyita · Veure més »

Semiconductors magnètics

Memòria RAM magneto-resistiva. Els semiconductors magnètics són materials semiconductors que presenten tant ferromagnetisme (o una resposta similar) com propietats útils de semiconductors.

Nou!!: Epitàxia і Semiconductors magnètics · Veure més »

Silici sobre safir

Secció transversal d'un circuit integrat sobre un substrat de silici sobre safir (SOS). El silici sobre safir (SOS) és un procés heteroepitaxial per a la fabricació de circuits integrats (IC) d'òxid metàl·lic i semiconductor (MOS) que consta d'una capa fina (normalment més fina que 0,6µm) de silici cultivat sobre una oblia de safir (Al2O3).

Nou!!: Epitàxia і Silici sobre safir · Veure més »

Simulació de processos de semiconductors

La simulació de processos de semiconductors és el modelatge de la fabricació de dispositius semiconductors com els transistors.

Nou!!: Epitàxia і Simulació de processos de semiconductors · Veure més »

Trifilita

La trifilita és un mineral de la classe dels fosfats, i pertany i dona nom al grup trifilita de minerals.

Nou!!: Epitàxia і Trifilita · Veure més »

Troegerita

La troegerita o trögerita és un mineral de la classe dels minerals fosfats, i dins d'aquesta pertany a l'anomenat grup de l'autunita. Va ser descoberta l'any 1871 a Schneeberg, a les muntanyes Metal·líferes, a l'estat de Saxònia (Alemanya), sent nomenada així en honor de Richard Troeger, miner alemany.

Nou!!: Epitàxia і Troegerita · Veure més »

Redirigeix aquí:

Creixement epitaxial.

SortintEntrant
Hey! Estem a Facebook ara! »