Logo
Uniopèdia
Comunicació
Disponible a Google Play
Nou! Descarregar Uniopèdia al dispositiu Android™!
Descarregar
Accés més ràpid que el navegador!
 

Chip-scale package

Índex Chip-scale package

Fig.1 Exemple d'encapsulat CSP (a la part inferior de la imatge) comparat amb un encapsulat SOT23 (part superior). Chip-scale-Package (amb acrònim anglès CSP) és un tipus d'encapsulat per a circuits integrats.

7 les relacions: ASE Group, Assaig d'oblies, Encapsulat a nivell d'oblia, Encapsulat dels circuits integrats, Encapsulat FOWL, Solder ball, XDR DRAM.

ASE Group

Advanced Semiconductor Engineering, Inc. (en xinès 日月光半導體製造股份有限公司), també conegut com a Grup ASE (en xinès 日月光集團), és un proveïdor de serveis independents de muntatge i fabricació de semiconductors, amb seu a Kaohsiung, Taiwan.

Nou!!: Chip-scale package і ASE Group · Veure més »

Assaig d'oblies

Sondador d'oblies de semiconductors de 8 polzades, mostrat amb els panells de coberta, el provador i els elements de la targeta de sonda eliminats. L'oblia és visible al costat esquerre. Oblia de semiconductor. L'assaig d'oblies és un test que es realitza durant la fabricació de dispositius semiconductors un cop finalitzat el procés BEOL.

Nou!!: Chip-scale package і Assaig d'oblies · Veure més »

Encapsulat a nivell d'oblia

Un encapsulat a nivell d'oblia connectat a una placa de circuit imprès. L'encapsulat a nivell d'oblia (amb acrònim anglès WLP) és un procés en què els components d'encapsulat s'uneixen a un circuit integrat (IC) abans de tallar l'oblia, sobre la qual es fabrica l'IC.

Nou!!: Chip-scale package і Encapsulat a nivell d'oblia · Veure més »

Encapsulat dels circuits integrats

p.

Nou!!: Chip-scale package і Encapsulat dels circuits integrats · Veure més »

Encapsulat FOWL

paquet eWLB, la primera tecnologia FO-WLP comercialitzada. L'encapsulat a nivell d'oblia (també conegut com a encapsulat a nivell d'oblia, amb acrònims anglesos WLP, FOWL, FO-WLP, FOWLP, etc.) és una tecnologia d'embalatge de circuits integrats i una millora de l'oblia estàndard.

Nou!!: Chip-scale package і Encapsulat FOWL · Veure més »

Solder ball

Una matriu de quadrícula de boles de soldadura sota un xip de circuit integrat, amb el xip eliminat; les boles es van deixar unides a la placa de circuit imprès. En l'encapsulat de circuits integrats, una bola de soldadura, també coneguda com a cop de soldadura (sovint anomenada simplement "bola" o "bumps") és una bola de soldadura que proporciona el contacte entre el paquet de xips i la placa de circuit imprès, així com entre paquets apilats en mòduls multixip; en aquest últim cas, es poden denominar microbumps (μbumps, ubumps), ja que solen ser significativament més petits que els primers.

Nou!!: Chip-scale package і Solder ball · Veure més »

XDR DRAM

DRAM XDR. XDR DRAM (memòria d'accés aleatori dinàmic de velocitat de dades extrema) és una interfície de memòria dinàmica d'accés aleatori d'alt rendiment.

Nou!!: Chip-scale package і XDR DRAM · Veure més »

SortintEntrant
Hey! Estem a Facebook ara! »