Logo
Uniopèdia
Comunicació
Disponible a Google Play
Nou! Descarregar Uniopèdia al dispositiu Android™!
Gratis
Accés més ràpid que el navegador!
 

Oblia (electrònica)

Índex Oblia (electrònica)

Una oblia de silici gravada En microelectrònica, una oblia és una fina planxa de material semiconductor, com per exemple cristall de silici, sobre la qual es construeixen microcircuits mitjançant tècniques de dopat (per exemple, difusió o implantació d'ions), gravat químic i deposició de diversos materials.

17 les relacions: Anisotropia, Cel·la fotovoltaica, Circuit integrat, Component electrònic, Cristal·lografia, Diamant, Dopatge (semiconductors), Epitàxia, Fabricació de circuits integrats, Màscara fotogràfica, Memòria flaix, Microelectrònica, Nanolitografia, Ordre de magnitud, Placa solar, Semiconductor, Silici.

Anisotropia

plasmes, en aquest cas, el fenomen de "filamentació" Lanisotropia és la propietat de variació de les propietats físiques en ser mesurades des de diferents direccions.

Nou!!: Oblia (electrònica) і Anisotropia · Veure més »

Cel·la fotovoltaica

polzades Símbol de la cel·la fotovoltaica Una cel·la fotovoltaica, també anomenada cèl·lula fotovoltaica o cèl·lula solar és un dispositiu electrònic que permet transformar, mitjançant l'efecte fotovoltaic, l'energia solar en energia elèctrica, o més específicament, l'energia lluminosa (fotons) en electricitat (electrons).

Nou!!: Oblia (electrònica) і Cel·la fotovoltaica · Veure més »

Circuit integrat

Tres xips dau del circuit integrat al suport. Un circuit integrat (també conegut com a xip o microxip) és una pastilla o xip on es troben tots els components electrònics necessaris per complir una funció.

Nou!!: Oblia (electrònica) і Circuit integrat · Veure més »

Component electrònic

Diferents tipus de components electrònics Un component electrònic és un dispositiu destinat a ésser connectat amb altres i que poden realitzar unes o diverses funcions electròniques.

Nou!!: Oblia (electrònica) і Component electrònic · Veure més »

Cristal·lografia

Sòlid cristal·lí imatge de resolució atòmica del titanat d'estronci. Els àtoms més brillants són d'estronci i els més foscos de titani. La cristal·lografia és la ciència que estudia el creixement, la forma i la geometria dels cristalls minerals i la resolució d'estructures cristal·lines.

Nou!!: Oblia (electrònica) і Cristal·lografia · Veure més »

Diamant

El diamant (del grec adámas, que significa "apropiat" o "inalterable") és un mineral, al·lòtrop del carboni en què els àtoms estan configurats en una variació de l'estructura cristal·lina cúbica centrada en les cares anomenada reticle diamantí.

Nou!!: Oblia (electrònica) і Diamant · Veure més »

Dopatge (semiconductors)

En la producció de semiconductors, s'anomena dopatge al procés intencional d'agregar impureses en un semiconductor extremadament pur (també referit com intrínsec) per tal de canviar les seves propietats elèctriques.

Nou!!: Oblia (electrònica) і Dopatge (semiconductors) · Veure més »

Epitàxia

Lepitàxia es refereix a un tipus de creixement cristal·lí o deposició material en el qual les noves capes es formen sobre un substrat cristal·lí, amb orientacions ben definides respecte a aquest.

Nou!!: Oblia (electrònica) і Epitàxia · Veure més »

Fabricació de circuits integrats

Sala neta de la NASA. La fabricació de circuits integrats és un procés complex i en el qual intervenen nombroses etapes.

Nou!!: Oblia (electrònica) і Fabricació de circuits integrats · Veure més »

Màscara fotogràfica

Una fotomàscara Il·lustració esquemàtica d'una fotomáscara (a dalt) i un circuit integrat (a baix) creat amb aquesta màscara. Una màscara fotogràfica, fotomàscara (o simplement màscara, depenent del context) és una pel·lícula amb àrees transparents i zones opaques, que deixen que la llum passi o no passi en un procés d'insolació fotogràfica.

Nou!!: Oblia (electrònica) і Màscara fotogràfica · Veure més »

Memòria flaix

La memòria flaix és un medi d'emmagatzematge no volàtil que està desenvolupada a partir de la memòria EEPROM, van sorgir per proporcionar una major funcionalitat i flexibilitat a l'usuari i millorar l'elevat cost de les memòries EEPROM i la poca capacitat.

Nou!!: Oblia (electrònica) і Memòria flaix · Veure més »

Microelectrònica

Samsung S III. La microelectrònica és l'aplicació de la ciència electrònica a components i circuits de dimensions molt petites, microscòpiques i fins a nivell molecular per a produir dispositius i equips electrònics de dimensions reduïdes però altament funcionals.

Nou!!: Oblia (electrònica) і Microelectrònica · Veure més »

Nanolitografia

Centre de nanofabricació de la Universitat de Minnesota - Bay 4, nanolitografia i màscara. La nanolitografia (NL) és un camp creixent de tècniques dins de la nanotecnologia que s'ocupa de l'enginyeria (per exemple, gravat, dipòsit, escriptura, impressió, etc.) d'estructures a escala nanomètrica sobre diversos materials.

Nou!!: Oblia (electrònica) і Nanolitografia · Veure més »

Ordre de magnitud

Un ordre de magnitud és la classe d'escala o magnitud de qualsevol quantitat, on cada classe conté valors en una proporció fixa respecte a la classe anterior.

Nou!!: Oblia (electrònica) і Ordre de magnitud · Veure més »

Placa solar

Una instal·lació de panells solars a Mongòlia. Una placa solar, o panell solar,També anomenat mòdul, captador, plafó o col·lector solar és un dispositiu que transforma la radiació solar en energia elèctrica (cas dels panells fotovoltaics) o bé en energia tèrmica (cas dels panells tèrmics).

Nou!!: Oblia (electrònica) і Placa solar · Veure més »

Semiconductor

Un semiconductor és un material que es comporta com un aïllant a molt baixa temperatura, però que presenta certa conductivitat elèctrica a temperatura ambient essent possible de controlar aquesta conductivitat per mitjà de l'addició d'impureses.

Nou!!: Oblia (electrònica) і Semiconductor · Veure més »

Silici

El silici és un element químic no metàl·lic de la taula periòdica que té el símbol Si i un nombre atòmic de 14.

Nou!!: Oblia (electrònica) і Silici · Veure més »

Redirigeix aquí:

Hòstia (electrònica), Substrat (electrònica), Wafer (electrònica).

SortintEntrant
Hey! Estem a Facebook ara! »