Logo
Uniopèdia
Comunicació
Disponible a Google Play
Nou! Descarregar Uniopèdia al dispositiu Android™!
Gratis
Accés més ràpid que el navegador!
 

Circuit imprès

Índex Circuit imprès

Sinclair ZX Spectrum del 1983; un PCB poblat, mostrant les pistes de material conductor, els forats a través del substrat i alguns components elèctrics muntats. Fig.1 Disseny de PCB de doble cara. Una placa de circuit imprès, o PCB, és utilitzada per donar suport mecànic i connectar elèctricament components electrònics que utilitzen pistes de material conductor, gravats a partir de fulls de coure laminats a un substrat no conductor (fibra de vidre, etc.). Una PCB poblada amb components electrònics és un muntatge de circuits impresos (PCA), també conegut pel nom muntatge de plaques de circuit imprès (PCBA).

47 les relacions: Algorisme, Altium, Alumini, Ansys, Cadence Design Systems, Ceràmica, Component electrònic, Conductor elèctric, Connector elèctric, Coure, Díode emissor de llum, Dessoldador, DuPont, Eagle (Programa), Encapsulat dels circuits integrats, Fibra de vidre, Format Gerber, FR-2, FR-4, IEC 61760, Impressora d'injecció, Interruptor, IPC (electrònica), KiCad, Mentor Graphics, NP-complet, Oblia (electrònica), Permitivitat, Plàstic, Plàstic reforçat amb fibra de vidre, Polímer, Poliamida, Poliestirè, Porta lògica, Radiofreqüència, Resina epoxi, Serigrafia, Sinclair Research, Soldadura sense plom, Soldadura tova, Tecnologia de forats passants, Tecnologia de muntatge superficial, Tefló, Terra (electricitat), Universitat de Barcelona, Wi-Fi, ZX Spectrum.

Algorisme

nombres primers Un algorisme (o, alternativament, algoritme) és un conjunt finit d'instruccions o passos que serveixen per a executar una tasca o resoldre un problema.

Nou!!: Circuit imprès і Algorisme · Veure més »

Altium

Altium Limited és una empresa d'Austràlia que dissenya i comercialitza programari de disseny de PCB dirigit a enginyers.

Nou!!: Circuit imprès і Altium · Veure més »

Alumini

Lalumini és l'element químic de símbol Al i nombre atòmic 13.

Nou!!: Circuit imprès і Alumini · Veure més »

Ansys

Ansys Inc (NASDAQ: ANSS) és una empresa multinacional dels EUA que es dedica al disseny de programari per a simulacions de variables físiques (temperatura, fluids, estructures, semiconductors...). Empra els mètodes d'Anàlisi d'elements finits, Anàlisi estructural i Transmissió tèrmica.

Nou!!: Circuit imprès і Ansys · Veure més »

Cadence Design Systems

Cadence Design Systems (abr. NASDAQ: CDNS) és una empresa multinacional dels Estats Units d'Amèrica que es dedica al disseny de programari per al sector electrònic.

Nou!!: Circuit imprès і Cadence Design Systems · Veure més »

Ceràmica

Plat de ceràmica de Faenza La ceràmica és qualsevol dels diversos materials durs, trencadissos, resistents a la calor i resistents a la corrosió als quals es dona forma i després es couen.

Nou!!: Circuit imprès і Ceràmica · Veure més »

Component electrònic

Diferents tipus de components electrònics Un component electrònic és un dispositiu destinat a ésser connectat amb altres i que poden realitzar unes o diverses funcions electròniques.

Nou!!: Circuit imprès і Component electrònic · Veure més »

Conductor elèctric

aïllants i posats dins d'un tub (més aïllant) Un conductor elèctric és un material físic que permet amb facilitat el trànsit de càrregues elèctriques pel seu interior.

Nou!!: Circuit imprès і Conductor elèctric · Veure més »

Connector elèctric

Panell posterior del «Manta DVD-012 Emperor Recorder», mostrant diversos connectors elèctrics. Un connector elèctric és un dispositiu conductor per unir circuits elèctrics.

Nou!!: Circuit imprès і Connector elèctric · Veure més »

Coure

El coure és l'element químic de símbol Cu i nombre atòmic 29.

Nou!!: Circuit imprès і Coure · Veure més »

Díode emissor de llum

Díodes LEDDíodes LED ---- A (p) Símbol de Díode LED C ó K (n) Representació simbòlica.

Nou!!: Circuit imprès і Díode emissor de llum · Veure més »

Dessoldador

estany fent ús de la bomba d'aspiració. Un dessoldador (també anomenat bomba d'estany) és un aspirador d'estany, una eina de suport al procés de soldadura o dessoldadura.

Nou!!: Circuit imprès і Dessoldador · Veure més »

DuPont

DuPont (abreviació usual dEI du Pont de Nemours and Co) és una empresa multinacional d'origen estatunidenca, dedicada fonamentalment a diverses branques industrials de la química, que actualment compta amb uns 59.000 empleats a tot el món, sent una de les més grans empreses de química del planeta (juntament amb altres com Basf o Dow Chemical Company).

Nou!!: Circuit imprès і DuPont · Veure més »

Eagle (Programa)

EAGLE (Easily Applicable Graphical Layout Editor) és un programa per a la creació i edició d'esquemàtics i per al disseny de plaques de circuit imprès creat per aficionats i entusiastes del moviment Do It Yourself.

Nou!!: Circuit imprès і Eagle (Programa) · Veure més »

Encapsulat dels circuits integrats

p.

Nou!!: Circuit imprès і Encapsulat dels circuits integrats · Veure més »

Fibra de vidre

Manoll de fibres de vidre La fibra de vidre és un material format per filaments extremadament fins i molt lleugers de vidre, generalment entre 1 μm i 8 μm.

Nou!!: Circuit imprès і Fibra de vidre · Veure més »

Format Gerber

El format Gerber és un tipus de codificació d'imatges 2D en format ASCII i de tipus obert (sense drets d'autor).

Nou!!: Circuit imprès і Format Gerber · Veure més »

FR-2

FR-2 (o FR2, acrònim de flame retardant) és la designació assignada a una làmina formada per paper fenòlic: material compost de paper impregnat amb resina fenòlica.

Nou!!: Circuit imprès і FR-2 · Veure més »

FR-4

FR-4 (o FR4, acrònim de flame retardant 4) és la designació assignada a una làmina formada per fibra de vidre trenada, resines d'epoxy i brom.

Nou!!: Circuit imprès і FR-4 · Veure més »

IEC 61760

IEC 61760 és una normativa internacional (creada per l'IEC) de tecnologia de soldadura de components electrònics de muntatge superficial.

Nou!!: Circuit imprès і IEC 61760 · Veure més »

Impressora d'injecció

Impressora d'injecció. Impressora d'injecció de tinta DeskJet 720C. Les impressores d'injecció de tinta funcionen expulsant gotes de tinta de diferents mides sobre el paper.

Nou!!: Circuit imprès і Impressora d'injecció · Veure més »

Interruptor

Un interruptor elèctric és un dispositiu que permet desviar o interrompre el curs d'un corrent elèctric.

Nou!!: Circuit imprès і Interruptor · Veure més »

IPC (electrònica)

IPC (acrònim anglès de Association Connecting Electronics Industries) és una associació comercial amb l'objectiu d'estandarditzar els requeriments per l'ensamblat i producció d'equips electrònics.

Nou!!: Circuit imprès і IPC (electrònica) · Veure més »

KiCad

KiCad és el nom d'un programari lliure per a dissenyar esquemes electrònics i la seva conversió a circuits impresos.

Nou!!: Circuit imprès і KiCad · Veure més »

Mentor Graphics

Mentor Graphics Inc (NASDAQ: MENT) és una empresa multinacional dels EUA que es dedica al disseny de programari per al sector electrònic.

Nou!!: Circuit imprès і Mentor Graphics · Veure més »

NP-complet

En complexitat computacional, el conjunt de problemes NP-complet, que son els problemes que pertanyen tant a NP com a NP-hard.

Nou!!: Circuit imprès і NP-complet · Veure més »

Oblia (electrònica)

Una oblia de silici gravada En microelectrònica, una oblia és una fina planxa de material semiconductor, com per exemple cristall de silici, sobre la qual es construeixen microcircuits mitjançant tècniques de dopat (per exemple, difusió o implantació d'ions), gravat químic i deposició de diversos materials.

Nou!!: Circuit imprès і Oblia (electrònica) · Veure més »

Permitivitat

En electromagnetisme, la permitivitat (ε) d'un medi és la proporció D / E, on D és el desplaçament elèctric en coulombs per metre quadrat (C/m²) i E és la força del camp elèctric en volts per metre (V/m).

Nou!!: Circuit imprès і Permitivitat · Veure més »

Plàstic

Diversos tipus de plàstic en articles per a la llar En química i tecnologia, els plàstics són materials orgànics polimèrics d'alta massa molecular.

Nou!!: Circuit imprès і Plàstic · Veure més »

Plàstic reforçat amb fibra de vidre

El plàstic reforçat amb fibra de vidre (GRP o Glass-reinforced plastic en anglès) és un material compost, concretament, un plàstic reforçat amb fibres.

Nou!!: Circuit imprès і Plàstic reforçat amb fibra de vidre · Veure més »

Polímer

El poliestirè és un polímer format a partir de la unitat repetitiva d'estirè Els polímers són macromolècules (generalment orgàniques) formades per la unió de molècules més petites anomenades monòmers.

Nou!!: Circuit imprès і Polímer · Veure més »

Poliamida

La poliamida (PA) és compost químic polimèric i termoplàstic que conté enllaços de tipus amida.

Nou!!: Circuit imprès і Poliamida · Veure més »

Poliestirè

Estructura química del poliestirè Símbol internacional del poliestirè. El poliestirè o poliestiré és un polímer termoplàstic l'abreviatura del qual és PS.

Nou!!: Circuit imprès і Poliestirè · Veure més »

Porta lògica

Porta lògica I (AND) utilitzant un relé. Una porta lògica és un component electrònic que es pot utilitzar per conduir l'electricitat basada en una regla.

Nou!!: Circuit imprès і Porta lògica · Veure més »

Radiofreqüència

Antenes per a transmissió de la radio i televisió a República Txeca. S'anomena radiofreqüència o ones de ràdio (RF) la part de l'espectre electromagnètic en què les ones electromagnètiques es poden generar mitjançant un corrent altern aplicat a una antena i tradicionalment s'han utilitzat per a la comunicació.

Nou!!: Circuit imprès і Radiofreqüència · Veure més »

Resina epoxi

Xeringues de resina epoxi "5-minuts", en el moment d'aplicar-les cal barrejar ambdues substàncies. Estructura epoxi prepolímer, n indica el nombre de subunitats polimeritzades i es troba en el rang de 0 fins a gairebé 25. Una resina epoxi o poliepòxid és un polímer orgànic termoestable que s'endureix quan es barreja amb un agent catalitzador.

Nou!!: Circuit imprès і Resina epoxi · Veure més »

Serigrafia

La serigrafia és un procediment d'estampació planogràfic, sense relleu, i per això no es pot considerar un gravat.

Nou!!: Circuit imprès і Serigrafia · Veure més »

Sinclair Research

La nova invenció de Sinclair: l'''A-Bike''. Sinclair Research Ltd. és una empresa d'electrònics de consum britànica, fundada per Sir Clive Sinclair a Cambridge, Anglaterra.

Nou!!: Circuit imprès і Sinclair Research · Veure més »

Soldadura sense plom

La soldadura sense plom és un tipus de soldadura que consisteix a unir dos fragments de metall, sovint coure, ferro o llautó, per mitjà d'un metall d'aportació de baix punt de fusió (per sota dels 450 °C) i per sota del punt de fusió dels metalls a soldar, normalment es fa servir un aliatge eutèctic compost en la seva majoria d'estany i altres components..

Nou!!: Circuit imprès і Soldadura sense plom · Veure més »

Soldadura tova

Circuits electrònics soldats amb estany Desoldant un cable d'un contacte. La soldadura tova o soldadura blana és un tipus de soldadura que consisteix a unir dos fragments de metall, sovint coure, ferro o llautó, per mitjà d'un metall d'aportació amb un punt de fusió baix (per sota dels 450 °C) i inferior al del punt de fusió dels metalls a soldar.

Nou!!: Circuit imprès і Soldadura tova · Veure més »

Tecnologia de forats passants

Fig.1 Resistències: exemple de components de forat passant Tecnologia de forats passants fa referència al sistema de muntatge dels components electrònics sobre els circuits impresos.

Nou!!: Circuit imprès і Tecnologia de forats passants · Veure més »

Tecnologia de muntatge superficial

Components SMD Condensador SMD La Tecnologia de muntatge superficial és una tecnologia de fabricació de dispositius electrònics (actius i passius) inventada per l'empresa Siemens (que l'anomenà SMD - Surface Mounted Devices) que consisteix a reduir la dimensió d'aquests dispositius i no proveir-los de potes metàl·liques llargues (terminals) per a soldar-los a la placa del circuit imprès.

Nou!!: Circuit imprès і Tecnologia de muntatge superficial · Veure més »

Tefló

Representació de la molècula de politetrafluoretilè. El politetrafluoretilè (PTFE), o Teflon® (Teflon®, de DuPont, marca amb la que fou comercialitzat inicialment), és un polímer similar al polietilè, on els àtoms d'hidrogen estan substituïts per fluor.

Nou!!: Circuit imprès і Tefló · Veure més »

Terra (electricitat)

Vareta usada com elèctrode de terra. El color verd-groc designa el cable de terra. En enginyeria elèctrica, terra, chassis o massa és el punt de referència d'un circuit elèctric a partir del qual es mesuren les tensions, un camí de retorn comú per al corrent elèctric, o una connexió física directa amb el terra.

Nou!!: Circuit imprès і Terra (electricitat) · Veure més »

Universitat de Barcelona

La Universitat de Barcelona és una universitat pública situada a la ciutat de Barcelona.

Nou!!: Circuit imprès і Universitat de Barcelona · Veure més »

Wi-Fi

Wi-Fi, sovint escrit com Wi-fi, WLAN, WiFi, Wifi i wifi és una tecnologia de xarxa local sense fils que permet a un dispositiu electrònic intercanviar dades o connectar amb internet sigui a 2,4GHz o 5GHz.

Nou!!: Circuit imprès і Wi-Fi · Veure més »

ZX Spectrum

L'Spectrum va ser un microordinador creat per Sir Clive Sinclair basat en el processador Z80 de Zilog, amb una memòria ROM de 16 KiB i una memòria d'accés aleatori (RAM) de 16 o 48 KiB, teclat de goma i intèrpret de BASIC incorporat.

Nou!!: Circuit imprès і ZX Spectrum · Veure més »

Redirigeix aquí:

Circuits impresos, Placa de circuit imprès, Plaques de circuits electrònics.

SortintEntrant
Hey! Estem a Facebook ara! »